diff -U3 /tmp/cirrus-ci-build/src/test/modules/brin/expected/summarization-and-inprogress-insertion.out /tmp/cirrus-ci-build/build/testrun/brin/isolation/results/summarization-and-inprogress-insertion.out --- /tmp/cirrus-ci-build/src/test/modules/brin/expected/summarization-and-inprogress-insertion.out 2024-03-26 23:35:27.651674000 +0000 +++ /tmp/cirrus-ci-build/build/testrun/brin/isolation/results/summarization-and-inprogress-insertion.out 2024-03-26 23:53:54.362582000 +0000 @@ -1,6 +1,7 @@ Parsed test spec with 2 sessions starting permutation: s2check s1b s2b s1i s2summ s1c s2c s2check +s2: WARNING: outfuncs/readfuncs failed to produce an equal rewritten parse tree step s2check: SELECT * FROM brin_page_items(get_raw_page('brinidx', 2), 'brinidx'::regclass); itemoffset|blknum|attnum|allnulls|hasnulls|placeholder|empty|value ----------+------+------+--------+--------+-----------+-----+-------- @@ -23,6 +24,7 @@ step s1c: COMMIT; step s2c: COMMIT; +s2: WARNING: outfuncs/readfuncs failed to produce an equal rewritten parse tree step s2check: SELECT * FROM brin_page_items(get_raw_page('brinidx', 2), 'brinidx'::regclass); itemoffset|blknum|attnum|allnulls|hasnulls|placeholder|empty|value ----------+------+------+--------+--------+-----------+-----+----------- @@ -32,6 +34,7 @@ starting permutation: s2check s1b s1i s2vacuum s1c s2check +s2: WARNING: outfuncs/readfuncs failed to produce an equal rewritten parse tree step s2check: SELECT * FROM brin_page_items(get_raw_page('brinidx', 2), 'brinidx'::regclass); itemoffset|blknum|attnum|allnulls|hasnulls|placeholder|empty|value ----------+------+------+--------+--------+-----------+-----+-------- @@ -42,6 +45,7 @@ step s1i: INSERT INTO brin_iso VALUES (1000); step s2vacuum: VACUUM brin_iso; step s1c: COMMIT; +s2: WARNING: outfuncs/readfuncs failed to produce an equal rewritten parse tree step s2check: SELECT * FROM brin_page_items(get_raw_page('brinidx', 2), 'brinidx'::regclass); itemoffset|blknum|attnum|allnulls|hasnulls|placeholder|empty|value ----------+------+------+--------+--------+-----------+-----+-----------